VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Clock-Signal oder doch nicht?

Anfang   zurück   weiter   Ende
Autor Beitrag
hyperb
Gast
New PostErstellt: 22.08.06, 00:08  Betreff: Clock-Signal oder doch nicht?  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hi Leute

Wie erkennt das Synthesetool im Webpack von Xilinx eigentlich ob es sich bei den Signalen um Clock-Signale handelt oder nicht?

Und kann ich das auch selbst bestimmen?

Danke
nach oben
xGCFx
Stammgast


Beiträge: 33
Ort: Dresden

New PostErstellt: 23.08.06, 21:22  Betreff: Re: Clock-Signal oder doch nicht?  drucken  weiterempfehlen Antwort mit Zitat  

Wenn ein Signal irgendwo mit rising_edge oder 'event abgefragt wird, nimmt das Tool an, dass dies ein Clocksignal ist. Dann kommt es drauf an, woher dieser Clock kommt:
  • vom Clockpin, Clockbuffer oder DCM: alles OK
  • aus normalen I/O-Pin oder Kombinatorik : Warnung / Error
Ersteres ist zu bevorzugen ;-) Zweiteres kann zu "Schweinereieffekten" führen wie Asynchronität und dergleichen. Deshalb auch die Warnung.


==================
http://editthis.info/freefpga
==================


nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj