VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
records

Anfang   zurück   weiter   Ende
Autor Beitrag
Hans
Gast
New PostErstellt: 23.01.07, 19:33  Betreff: records  drucken  weiterempfehlen Antwort mit Zitat  

Guten Abend

Ich habe eine VHDL-component, welche einen std_logic_vector[10:0] output besitzt. Diesen output muss ich struktural mit einem record verbinden, der aus zwei Datentypen mit je st_logic_vector[10:0] (record.a[10:0]) und record.b[10:0]) besteht, wobei ich natürlich nur einen verbinden muss.

Wie implementiere ich dies am besten? Wer kann mir weiterhelfen? Besten Dank!


Gruss
Hans
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj