VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Thomas
New PostErstellt: 30.06.06, 12:54     Betreff: Outputvector- Array Antwort mit Zitat  

Whisky: Mord im schottischen Schloss...
Hallo...
ich brauche für einen Filter in einem FPGA 32 8-Bit Ausgänge die in anderen Modulen weiterverarbeitet werden.
Kann mir jemand sagen wie ich das in der entity gestallten kann? ich möchte nicht unbedingt 32 8Bit Vektoren definieren, sondern nach Möglichkeit eine Matrix oder ein Array. Mir ist wohl bekannt, das man dieses Problem auf EINEN Vektor der Länge 32*8Bit abbilden kann, aber diese Umorganisierung möchte ich nicht Verwenden. Gesucht ist also eine Möglichkeit der Definition eines 2-Dimensionalen "Objektes" in der entity...falls es dort möglichkeiten gibt.
Gruss Thomas
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 3.066 von 3.235
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj