Autor |
Beitrag |
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 11.03.04, 16:31 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Danke für diese ratschläge. ich werde mein programm gleich umschreiben. Jedoch verstehe ich ihren Vorschlag nicht, dass ich die packages weglassen soll. ihrendwie muß ich die files doch in mein schaltwerk einbinden, ohne das ich alles in ein file schreibe. wie muß ich die packages umschreiben, dass sie korrekt sind? danke für ihre mühe. mit freundlichen grüßen zewas
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 11.03.04, 16:47 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
lösche einfach aus jeden file die package declerationen.
Im "Schaltwerk" brachst du nur die componeten zu declarieren.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 11.03.04, 17:10 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
ok, werde ihre ratschläge ausprobieren. sende das projekt ihnen später per email.
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 13.03.04, 15:06 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Haben sie die email bekommen? wenn nicht, sende ich sie ihnen nochmal. mit freundlichen grüßen ZewaS
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 13.03.04, 21:44 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallo,
wenn das ganze jetzt funktioniert, ist das ja schon mal gut.
Hier noch einige Tipps zum Coding-Style:
folgendes : if ( fastclk='1' and fastclk'event)then sollte so gemacht werden: if rising_edge(fastclk) then
der "werk" process ist im Prinzip eine STATE Machine. Er sollte dann auch so beschrieben werden. Du solltest Dir ansehen wie man State-Machines in VHDL codiert.
Es ist zu empfehlen: port map (rese, cy, takt, q, dout, ain); so zu schreieben port map (rese => ...., cy => ....., takt => ....., .... );
----------------
elsif cy ='0'and slclk'event and slclk='0' then
Hier sollte cy aus der clock beschreibung des FF rausgenommen werden (extra beschreiben)
-----------------
Der process übergabe erzeugt ein latch - latches solten vermieden werden - nur getacktete processe
ok - das wars deke ich.
noch viel spass
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 14.03.04, 18:17 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Können Sie mir einige anregungen geben, wie ich meinen process "werk" zu einer state machine umschreibe. bislang hab ich noch keine hilfreiche Lösung gefunden. mit freundlichen Grüßen ZewaS
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 16.03.04, 11:39 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hast Du die portdeclerationen vom Adressschieberegister geändert?
Hier die componentendecleration:
component Adressschieberegister port(r: in std_logic; intclk: in std_logic; --Takt von 500kHz-- cy:out std_logic; --freigabe des adressschieberegister-- clk:in std_logic; --reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops-- q: out std_logic; dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang-- din: in std_logic); end component;
Hier die entity :
entity Adressschieberegister is port(r: in std_logic; --intclk: in std_logic; --Takt von 500kHz-- cy:in std_logic; --freigabe des adressschieberegister-- clk:in std_logic; --reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops-- q: out std_logic; dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang-- din: in std_logic);
end Adressschieberegister;
Das passt nicht.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
|