VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Noob-Frage

Anfang   zurück   weiter   Ende
Autor Beitrag
Peter
Gast
New PostErstellt: 08.03.07, 12:43  Betreff: Noob-Frage  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Moin,
ich fange gerade mit VHDL an und brauche den Block-RAM vom Virtex4-FPGA. Bei den Languages Templates gibt es dafür einen Eintrag, aber der Code fuktioniert nicht (Fehlermeldung ganz unten). Kann mir jemand sagen, was ich da falsch gemacht habe?

Ich wäre für Hilfe sehr Dankbar
LG Peter

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

Library UNISIM;
use UNISIM.vcomponents.all;

entity PCQ is

end PCQ;

architecture Behavioral of PCQ is

RAMB16_inst : RAMB16
generic map (
.......


ERROR:HDLParsers:164 - "C:/Xilinx91i/Projekt/PCQ.vhd" Line 45. parse error,
unexpected IDENTIFIER
nach oben
Dave
Gast
New PostErstellt: 04.04.07, 11:25  Betreff: Re: Noob-Frage  drucken  weiterempfehlen Antwort mit Zitat  

Hi!

Gibts das Probem noch?
Wenn ja:
Ist das der ganze Programmtext?

Wenn nicht poste mal den Programmtext von Zeile 40 bis 50.
nach oben
Gast
New PostErstellt: 07.04.08, 16:45  Betreff: Re: Noob-Frage  drucken  weiterempfehlen Antwort mit Zitat  

also ich habe auch so ein prob :

if rising_edge (mac_clk_in) then
if start_button <= '1' then
if counter_index := '1' then
counter_index := counter_index + 1;
dat_send <= dat_send_complete(counter_index);
-- hier kein end if --> da elsif folgt
elsif counter_index := '2' then
counter_index := counter_index + 1;
dat_send <= dat_send_complete(counter_index);

elsif counter_index := '3' then
counter_index := counter_index + 1;
dat_send <= dat_send_complete(counter_index);

elsif counter_index := '4' then
counter_index := counter_index + 1;
dat_send <= dat_send_complete(counter_index);
end if;







------------------------------------------
ERROR:HDLParsers:164 - "D:/Programme/Xilinx92i/EIA-232/MAC.vhd" Line 30. parse error, unexpected AFFECT, expecting THEN
ERROR:HDLParsers:164 - "D:/Programme/Xilinx92i/EIA-232/MAC.vhd" Line 34. parse error, unexpected AFFECT, expecting THEN
ERROR:HDLParsers:164 - "D:/Programme/Xilinx92i/EIA-232/MAC.vhd" Line 38. parse error, unexpected AFFECT, expecting THEN
ERROR:HDLParsers:164 - "D:/Programme/Xilinx92i/EIA-232/MAC.vhd" Line 42. parse error, unexpected AFFECT, expecting THEN
ERROR:HDLParsers:164 - "D:/Programme/Xilinx92i/EIA-232/MAC.vhd" Line 47. parse error, unexpected IF, expecting PROCESS
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj