VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
witteks
Stammgast


Beiträge: 27

New PostErstellt: 22.06.04, 14:27     Betreff: Umsetzung rising_edge(clk) Antwort mit Zitat  

In einem Beitrag habe ich gelesen, dass man statt

if clk'event and clk = '1' then

besser

if rising_edge(clk) then

schreiben sollte. Welchen Grund hat das? Eigentlich sollten beide Varianten das gleiche Ergebnis in Hardware und Simulation haben, oder?
Ich benutze bisher nur Variante 1 in meinen synchronen Umgebungen.

Sven

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 3.131 von 3.235
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj