VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
salas
New PostErstellt: 09.07.06, 16:50     Betreff: Addierer aufbauen S.O.S Antwort mit Zitat  

White House Down [Blu-ray]
The Binary and Exponent Sum adders are set to 5-bits which provides a maximum shift of ±16 places in the barrel shifter; this exceeds the actual requirement of ±10 places. In this particular snap-shot, the coefficient is 8
(CB=3, CT=0) and the data is 23 (with an optimal 10-bit mapping of DB=144, DT=-88). The result of the multiplication operation is 8´23=184 which is correctly produced at the input to the Binary Accumulator. The ROM looks up the pre-stored value and the mantissa is loaded into the barrel shifter. The binary exponent output of the ROM is only required to 5-bits
-151 (mod 32) = 9
as well as the DB input to the binary exponent adder.
144 (mod 32) = 16
The shift input to the barrel shifter is -4 and this value is correctly
obtained providing that it is contained within the number of bits of the reduced binary adders.



[/img] http://www.mikrocontroller.net/attachment.php/379496/Hallo+Leute+von+Heute.doc


Bitte Schema auf dem zweiten Blatt bei der Site daoben, soll vielleicht drauf geklickt,dass es gesehen wird.
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 593 von 874
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj