VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
salas
New PostErstellt: 12.07.06, 11:39     Betreff: Re: Addierer aufbauen S.O.S Antwort mit Zitat  

Wenn das so ist
Danke sehr für den Antwort, das ist nicht für normale binäre

Zahlen,sondern für DBNS (Double Base Nmber System)...,d.h. statt

(2 hoch n ) haben wir (2 hoch i )*(3 hoch j). und durch die Schema

wird es gerechnet. Ich haette mal auch von der ROM und der Schema.

Ich bedanke mich im Voraus.

Mit freundlichen Grüßen.


salas.
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 597 von 874
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj