VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Addierer aufbauen S.O.S

Anfang   zurück   weiter   Ende
Autor Beitrag
salas
Gast
New PostErstellt: 09.07.06, 16:50  Betreff: Addierer aufbauen S.O.S  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

The Binary and Exponent Sum adders are set to 5-bits which provides a maximum shift of ±16 places in the barrel shifter; this exceeds the actual requirement of ±10 places. In this particular snap-shot, the coefficient is 8
(CB=3, CT=0) and the data is 23 (with an optimal 10-bit mapping of DB=144, DT=-88). The result of the multiplication operation is 8´23=184 which is correctly produced at the input to the Binary Accumulator. The ROM looks up the pre-stored value and the mantissa is loaded into the barrel shifter. The binary exponent output of the ROM is only required to 5-bits
-151 (mod 32) = 9
as well as the DB input to the binary exponent adder.
144 (mod 32) = 16
The shift input to the barrel shifter is -4 and this value is correctly
obtained providing that it is contained within the number of bits of the reduced binary adders.



[/img] http://www.mikrocontroller.net/attachment.php/379496/Hallo+Leute+von+Heute.doc


Bitte Schema auf dem zweiten Blatt bei der Site daoben, soll vielleicht drauf geklickt,dass es gesehen wird.
nach oben
xGCFx
Stammgast


Beiträge: 33
Ort: Dresden

New PostErstellt: 09.07.06, 17:41  Betreff: Re: Addierer aufbauen S.O.S  drucken  weiterempfehlen Antwort mit Zitat  

*.doc als Anhang ist eine schlechte Lösung. Das nächste Mal pdf oder ähnliches. Die Aufgabenstellung verstehe ich nicht so ganz, wenn ich das richtig sehe, is das doch ein ganz normaler Addierer für binäre Zahlen mit Vorzeichen. Da ich das ni genau weiss, is der Code mit Vorsich zu genießen:


library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity signed_adder is
port (
dt : in std_logic_vector(9 downto 0);
ct : in std_logic_vector(9 downto 0);
dout : out std_logic_vector(9 downto 0)
);
end entity signed_adder;

architecture rtl of signed_adder is
begin
dout <= signed(dt) + signed(ct);
end architecture rtl;


Sonst bitte mal präziser ausdrücken...

====================
= http://editthis.info/freefpga =
====================

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
salas
Gast
New PostErstellt: 12.07.06, 11:39  Betreff: Re: Addierer aufbauen S.O.S  drucken  weiterempfehlen Antwort mit Zitat  

Danke sehr für den Antwort, das ist nicht für normale binäre

Zahlen,sondern für DBNS (Double Base Nmber System)...,d.h. statt

(2 hoch n ) haben wir (2 hoch i )*(3 hoch j). und durch die Schema

wird es gerechnet. Ich haette mal auch von der ROM und der Schema.

Ich bedanke mich im Voraus.

Mit freundlichen Grüßen.


salas.
nach oben
xGCFx
Stammgast


Beiträge: 33
Ort: Dresden

New PostErstellt: 14.07.06, 20:15  Betreff: Re: Addierer aufbauen S.O.S  drucken  weiterempfehlen Antwort mit Zitat  

Mhm, davon hab ja nun gar keine Ahnung. Noch nie von dem Format gehört :-(

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
DaMicha
Stammgast


Beiträge: 27
Ort: Rostock

New PostErstellt: 15.07.06, 07:50  Betreff: Re: Addierer aufbauen S.O.S  drucken  weiterempfehlen Antwort mit Zitat  

Falls es jemanden näher interessiert: nach "essential elements of the IPSP" googeln oder direkt
www.atips.ca/research/documents/ca/dbns/1999_Trans-Computers.pdf

schon etwas abgefahren...

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
salas
Gast
New PostErstellt: 09.08.06, 19:40  Betreff: Re: Addierer aufbauen S.O.S  drucken  weiterempfehlen Antwort mit Zitat  

ja damicha das habe ich gemeint, da ich nicht so gut im englisch habe ich schwerigkeiten gehabt, d.h ich soll die Arbeit doppelt machen + ich brauche Hilfe in VHDL-Beschreibung , XGCFx mach bitte ein Blick drauf und schau mal , ob du was rauskriegen kannst..., Damich ich wird sehr dankbar wenn du mir jemand findest, der mir dabei hilft...,

ich bedanke mich im Voraus.
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj