VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
port map

Anfang   zurück   weiter   Ende
Autor Beitrag
Timelimelim
Gast
New PostErstellt: 13.10.06, 16:44  Betreff: port map  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Leute! Ich fange gerade an VHDL zu lernen und dabei bin ich auf folgende Frage gestoßen:

Ist es möglich beim Erstellen einer Port-Map den STD_LOGIC_VECTOR einer Komponente gleich auf zwei unterschliedliche Vektoren zu verteilen, die zuammen gesehen wieder die Länge des "Komponentenvekotrs" haben?

Vielen Dank schon mal für eure Hilfe!
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj