Autor |
Beitrag |
Viktor Obrist
Gast
|
|
nach oben |
|
|
DaMicha
Stammgast
Beiträge: 27 Ort: Rostock
|
|
nach oben |
|
|
Viktor Obrist
Gast
|
Erstellt: 31.12.06, 12:19 Betreff: Re: VHDL Befehlsliste
drucken
weiterempfehlen
|
|
|
Danke!
Wir haben jetzt ein Mach OX Board nur leider kommt hier ne Fehlermeldung die ich nich zu deuten weiß. jemand ne Ahnung??
Danke im Vorraus
Hier das File:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
entity firstb is
port( A: in std_logic ; B: in std_logic ; C: out std_logic ); end;
architecture firstc of firstb is begin
if (A='1') -- Hier kommt diese Fehlermeldung jemand ne ahnung was ich falsch mache then outC<='1' --@E: CD216 :"D:\EIGENE~3\schule\5art\matura\DIPLOM~1\13EABF~1.SCH\v1.vhd":18:0:18:1|label required for generate else outC<='0' end if
end firstc;
|
|
nach oben |
|
|
BerndR
Stammgast
Beiträge: 29
|
Erstellt: 10.01.07, 11:04 Betreff: Re: VHDL Befehlsliste
drucken
weiterempfehlen
|
|
|
Hallo Viktor,
if Abfragen sind nur innerhalb von Prozessen erlaubt.
Bernd
|
|
nach oben |
|
|
|