VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
paralleles Singal seriell ausgeben

Anfang   zurück   weiter   Ende
Seite: 1, 2
Autor Beitrag
code_HH
Neuling


Beiträge: 8

New PostErstellt: 19.08.04, 09:28  Betreff: Re: paralleles Singal seriell ausgeben  drucken  weiterempfehlen Antwort mit Zitat  

Moin,

also ich bekomme ein ascii-Zeichensatz uebergeben und moechte jedes Element dieses Zeichensatzes in einen entsprechenden Bitvektor konvertieren, damit ich diesen Bitvektor seriell ausgeben kann...das ist so mein primaeres Ziel, der Rest drumherrum ist spielrei...

Gruss Steffen

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
code_HH
Neuling


Beiträge: 8

New PostErstellt: 19.08.04, 13:17  Betreff: Re: paralleles Singal seriell ausgeben  drucken  weiterempfehlen Antwort mit Zitat  

also theoretisch funktioniert mein prog jetzt, habe aber um es endgueltig simulieren zu koennen ein prob...

in meiner testbench definiere ich ein Signal vom typ string ("Hall") moechte dies in meinem Programm aber an ein Signal uebergeben, dieses signal ist auch vom typ string nimmt aber nie den wert von dem testbench signal an, woranb liegt das...

MfG Steffen

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
witteks
Stammgast


Beiträge: 27
Ort: Cottbus

New PostErstellt: 19.08.04, 21:05  Betreff: Re: paralleles Singal seriell ausgeben  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Steffen

1. Wie erfolgt die Übernahme eines Strings in einem digitalen System?
Ich denke, dass dazu zwischen zwei digitalen Systemen auch nur ein binärer serieller oder paralleler Datenstrom fließt. Es sei denn, dass der String innerhalb eines Systems übergeben wird. In diesem Fall wandelt das Synthesetool das automatisch im Hintergrund.
2. Erfolgt die Übernahme des Strings in einem Prozess?
Vielleicht wird der Prozess nicht aktiviert.

Sven

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
code_HH
Neuling


Beiträge: 8

New PostErstellt: 30.08.04, 12:09  Betreff: Re: paralleles Singal seriell ausgeben  drucken  weiterempfehlen Antwort mit Zitat  

Der String wird innerhalb eines Systems übergeben, aber die Vorgabe ist eben, dass ich den String direkt verarbeiten soll...

Der Prozess wird eigentlich aktiviert, aber werde das nochmal genau ergründen und Dir dann von meinem Erfolg oder Misserfolg berichten..

Gruss Steffen

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
code_HH
Neuling


Beiträge: 8

New PostErstellt: 02.09.04, 13:26  Betreff: Re: paralleles Singal seriell ausgeben  drucken  weiterempfehlen Antwort mit Zitat  

So habe es hinbekommen, fuer die die es interessiert, hier der Quelltext.

ausgabe:
PROCESS (CLK,zeichenkette)
VARIABLE sendebitueber : BIT_VECTOR (0 TO 15);
VARIABLE j,x,y,i : NATURAL:= 0;
VARIABLE zeichen : STRING (1 TO 4);
VARIABLE z : INTEGER:=1;
begin

IF (z/=5) THEN
sendebitueber := test(zeichenkette,z);
IF (j /= 16) THEN
IF(clk'event AND CLK ='1') THEN
cs <= '0';
END IF;
IF cs = '0' AND sendeid = '1' AND fehlerhint = '0' THEN
IF(clk'event AND CLK ='1') THEN
din <= sendebitueber(j);
j := j+1;
END IF;
END IF;
ELSE
z := z+1;
j := 0;
cs <= '1';
END IF;
END IF;

Gruss Steffen

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite: 1, 2
Seite 2 von 2
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj