VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
witteks
Stammgast


Beiträge: 27

New PostErstellt: 19.08.04, 21:05     Betreff: Re: paralleles Singal seriell ausgeben Antwort mit Zitat  

Samsung MZ-7TE120BW Serie 840 EVO Ba...
Hallo Steffen

1. Wie erfolgt die Übernahme eines Strings in einem digitalen System?
Ich denke, dass dazu zwischen zwei digitalen Systemen auch nur ein binärer serieller oder paralleler Datenstrom fließt. Es sei denn, dass der String innerhalb eines Systems übergeben wird. In diesem Fall wandelt das Synthesetool das automatisch im Hintergrund.
2. Erfolgt die Übernahme des Strings in einem Prozess?
Vielleicht wird der Prozess nicht aktiviert.

Sven

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 857 von 878
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj