std_logic_vector to integer
Gast
|
Erstellt: 03.06.10, 15:52 Betreff: ichbins
drucken
Thema drucken weiterempfehlen
|
|
|
timein : in std_logic_vector(5 downto 0); -- im Port-Body signal y2: integer range 0 to 63; -- vor Beginn des Codes innerhalb der architecture y2 <= conv_integer(timein);
Kann mir einer sagen, warum ich hier folgenden Fehler bekomme? Error (10405): VHDL error at cnt_stunden.vhd(51): can't determine type of object at or near identifier "conv_integer" -- found 0 possible types
Includes: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all;
Wie bekomme ich den Standard-Logic-Vector in eine Integer-Zahl konvertiert, um mit ihr weiter arbeiten zu können?
|
|