Marc10k
Neuling
Beiträge: 7
|
Erstellt: 08.11.04, 23:25 Betreff: Fehlermeldung 605
drucken
weiterempfehlen
|
|
|
Ich bekommen folgende Fehlermeldung wenn ich mein Programm übersetzte: ERROR:NgdBuild:605 - logical root block 'g_clock' with type 'g_clock' is
Kennt jemand diese Fehlermeldung und weiss wie ich sie beheben kann?
Gruss
Marcus
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity g_clock is Port ( reset : in std_logic; clk : in std_logic); end g_clock;
architecture Behavioral of g_clock is signal counter : std_logic ; begin
reseting : process (reset, clk) begin if reset = '1' then counter <= '1'; elsif (clk'event and clk = '1') then counter <= '0'; end if; end process;
end Behavioral;
|
|