VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
witteks
Stammgast


Beiträge: 27

New PostErstellt: 03.08.04, 21:01     Betreff: Re: paralleles Singal seriell ausgeben Antwort mit Zitat  

TFA Digitales Thermo-Hygrometer 30.5...
Gib das Signal in einem mit Clock getakteten Prozess aus.
Sowas in der Art

signal : bit_vector (5 downto 0); --(vielleicht besser std_logic_vector)
signal : ausgang bit;

process (clk)
var bit_zaehler : integer;
begin
if rising_edge(clk) then
if bit_zaehler /= 5 then
bit_zaehler := bit_zaehler + 1;
else
bit_zaehler := 0;
end if;
end if
end process;

ausgang <= signal (bit_zaehler);

Ich hoffe das funktioniert so, leider habe ich jetzt nix zum Testen. Unter Templates im WebPack findest du übrigens auch Beispiele für solche Wandler.

Sven

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden Website dieses Mitglieds aufrufen
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 885 von 893
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj