VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
ChristofR
Registrierter Benutzer


Beiträge: 1

New PostErstellt: 10.05.05, 14:41     Betreff:  Fehler bei Signal Synthese Antwort mit Zitat  

ALPINA Erwachsene Skihelm Grap, Blac...
Hallo,

ich hab ein stück VHDL Code angehängt, das bei der Synthese immer
folgende Fehlermeldung verursacht (WebPack ISE):

ERROR:Xst:827 - c:/dcf_decoder/DCF_Decoder.vhd line 21: Signal counter
cannot be synthesized, bad synchronous description.
-->

was mache ich denn da falsch ?



DCF_Decoder.vhd (1 kByte)
anzeigen - speichern
Datei wurde schon 341-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 753 von 878
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj