VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Dr. Faustus

Administrator

Beiträge: 107


New PostErstellt: 04.08.04, 08:46     Betreff:  Re: paralleles Singal seriell ausgeben Antwort mit Zitat  

Braun Thermoscan IRT 4520 Fieberther...
Wenn man :

if (bit_zaehler = 0) then
bit_zaehler := 0;
else
bit_zaehler := bit_zaehler + 1;

schreibt wird weniger logik auf dem Chip produziert.


Gruesse,


Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 867 von 878
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj