VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-Code mit Text-io

Anfang   zurück   weiter   Ende
Autor Beitrag
bluetoons
Registrierter Benutzer


Beiträge: 2

New PostErstellt: 27.04.07, 20:44  Betreff: VHDL-Code mit Text-io  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hi, habe mich hier angemeldet um hier eine Frage zu stellen.
Ist es moeglich in einem Prozess ein Textfile zu haben welches bei jedem triggern dieses Prozesses vom Anfang gelesen wird?
Der grund ist folgender: Ich programmiere zustandsautomaten in VHDL, zwecks verifikation. Dabei probiere ich verschiedene Alternativen aus. "Hartkodiert", mit zustanduebergangsfunktionen und als letztes anhand einer Tabelle! Die ersten 2 Varianten sind schon fertig.

Ciao und Danke schon mal fuer die Antworten!

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
bluetoons
Registrierter Benutzer


Beiträge: 2

New PostErstellt: 30.04.07, 11:07  Betreff: Re: VHDL-Code mit Text-io  drucken  weiterempfehlen Antwort mit Zitat  

Lösung gefunden.
Der Prozess wird in eine Prozedur ausgelagert. Dadurch wird das text-file jedesmal neu geladen wo die Prozedur aufgerufen wird

Ciao

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj