VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Lukas
New PostErstellt: 21.05.08, 15:21     Betreff: Wertzuweisung std_logic_vector Antwort mit Zitat  

Wenn das so ist (Premium-Edition)
Ich möchte einem Signal mit 32Bit Länge einen Wert zuweisen mithilfe einer anderen Variablen, die kürzer ist (z.B. 24Bit). siehe unten:

------------------------------------------------------------------------------------
SIGNAL signal1 : std_logic_vector(31 DOWNTO 0);
SIGNAL signal2 : std_logic_vector(23 DOWNTO 0);

signal1 <= signal2;

-----------------------------------------------------------------------------------

Beim compilieren erscheint folgender Fehler:
"expression has 24 elements, but must have 32 elements".

->wie kann ich also dem Signal "signal1" den Wert von "signal2" zuweisen? Bzw. wie kann ich aus "signal2" ein 32Bit-Vektor erzeugen, der den selben Wert besitzt?
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 57 von 177
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj