VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
lifas
Registrierter Benutzer


Beiträge: 1

New PostErstellt: 10.07.05, 20:17     Betreff: Impulsbreitenabhängige Anweisung LAST_EVENT Antwort mit Zitat  

Hallo,

ich möchte eine Impulsbreitenabhängige Anweisung realisieren. Habe mir das so vorgestellt:

IF signal_A'LAST_EVENT >= 10ns THEN signal_B <= '1';

Funktioniert allerdings nicht. Kann mir jemand weiterhelfen??
Danke im Vorraus.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 144 von 179
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj