VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
nobbe
Junior-Mitglied


Beiträge: 14

New PostErstellt: 24.01.06, 22:24     Betreff: Re: Was ist hier falsch? Antwort mit Zitat  

Der Tote unter der Piazza - Ein Neap...
ok...welches buch hast du denn?

so hab jetzt mitlerweile das nexte problem:

Bekomme folgenden Fehler:
    Zitat:
    Analyzing Entity
    (Architecture ).
    ERROR:Xst:769 - "D:/geschäft/05027/scripte/PWM_OUT_V1.0/main.vhd" line 76: Operator must have constant operands or first operand must be power of 2
soweit ich das rausgelesen habe hat er ein problem das ich folgendes mache:
    Zitat:
    DIP1_int := to_integer(unsigned(DIP1));
    pulsdauer := 6 * DIP1_int;

    DIP2_int := to_integer(unsigned(DIP2));
    frequenz := 6 * DIP2_int;
    .
    .
    .
    if frequenz_count < ((frequenz / 100)* pulsdauer) then
    .
    .
darf ich die zahl nur einmal verändern, oder ist sie zu groß zum dividieren?
was kann da machen? gibt es einen zahlentyp, der auch kommas kann? dann könnt ich statt durch 100 zu teilen einfach nur mit 0,06 multiplizieren

hier der volle code im anhang



main_1.vhd (2 kByte)
anzeigen - speichern
Datei wurde schon 330-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 108 von 176
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj