VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
xGCFx
Stammgast


Beiträge: 33

New PostErstellt: 24.01.06, 22:53     Betreff: Re: Was ist hier falsch? Antwort mit Zitat  

Ich denke, es liegt an der Division. Wie wäre es wenn du diese weg lässt, und es so schreibst:

if (frequenz_count*100) < (frequenz* pulsdauer) then

ist das nicht genau das selbe? Und es lässt sich anstandslos synthetsieren....

Oder du zählst frequenz_count immer um 100 hoch, nicht um 1. Dann kannst du dir doch auch die Multiplikation um 100 sparen, oder?

Achso: Ich hab vorhin ins Buch 'VHDL-Synthese' geschaut. Aber auch der 'Designers Guide to VHDL' is da immer gut zum Nachschlagen.


[editiert: 24.01.06, 23:05 von xGCFx]
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 108 von 177
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj