VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
xGCFx
Stammgast


Beiträge: 33

New PostErstellt: 24.01.06, 23:45     Betreff: Re: 16bit ADD-Modul modulo 2^16 Antwort mit Zitat  

Ich hab da ne Idee:

Hänge mal an die Summanden vorne immer ein Bit an. Dann addieren. Dann nur die ersten 16 Bits nehmen. Also ungefähr so:

architecture arch_addop of addop is

begin
process (A,B)
variable C: std_logic_vector(16 downto 0);
begin
C := ('0' & A) + ('0' & B);
O <= C(15 downto 0);
end process;

end arch_addop;

Dadurch entsteht bei der Addition auch wirklich ein 17 Bit Vektor, dessen 16 untere Bits dann an O zugewiesen werden

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 120 von 180
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj