xGCFx
Stammgast
Beiträge: 33
|
Erstellt: 24.01.06, 23:45 Betreff: Re: 16bit ADD-Modul modulo 2^16 |
|
|
Ich hab da ne Idee:
Hänge mal an die Summanden vorne immer ein Bit an. Dann addieren. Dann nur die ersten 16 Bits nehmen. Also ungefähr so:
architecture arch_addop of addop is
begin process (A,B) variable C: std_logic_vector(16 downto 0); begin C := ('0' & A) + ('0' & B); O <= C(15 downto 0); end process;
end arch_addop;
Dadurch entsteht bei der Addition auch wirklich ein 17 Bit Vektor, dessen 16 untere Bits dann an O zugewiesen werden
|
|