VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
nobbe
Junior-Mitglied


Beiträge: 14

New PostErstellt: 29.01.06, 15:49     Betreff: Re: [gelöst] Was ist hier falsch? Antwort mit Zitat  

Mammut Formel 90 Protein, Vanille, E...
ich habe da mal wieder das gleiche problem mit dem divid ...
habe schon verschiedenes versucht, aber ich finde keine lösung....
vielleicht findet ihr ja eine

ich habe eine schleife, wo zu einer variavle "zaeh_ges" immer +1 addiert wird, danach soll in einem andere process ein pwm erzeugt werden, mit folgender bedingung
"frequenz_count * 100 <(5000000 / zaeh_ges) * zaeh_up)"

habe jetzt auch schon so versucht:

"frequenz_count*100*zaeh_ges < 5000000 * zaeh_up" da das ja das letzte mal ja ausch schon geklappt hat(siehe oben)...aber irgendwe hat es sich in mir schon gestreubt, da es ja ein "<" ist und kein "="
Die 5000000 kommen daher, das ich ja die Milisekunden haben will und ich das Signal mit einer CLK von 5000000 abtaste.
Also "Zeit = gezaehlte_impulse / Abtastrate"


momenat steht alles noch in einer architecture, habe mir aber schon überlegt ob es was bringen würde, wenn ich die einzelnen processe in eine eigene architecture legen würde.

Ich hoffe ihr versteht wo mein problem ist....

hier ist mal der gesammte code...bis auf teile, die in diesem fall nicht relevant sind (also nicht über das entity wundern)


greez
nobbe



main.vhd (7 kByte)
anzeigen - speichern
Datei wurde schon 316-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 105 von 176
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj