VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
nobbe
Junior-Mitglied


Beiträge: 14

New PostErstellt: 24.01.06, 20:51     Betreff: Was ist hier falsch? Antwort mit Zitat  

TFA "
Hi Leute ich bekomme immer folgenden Fehler wenn ich meine Schaltung syhtentisieren willl:
    Zitat:
    Compiling vhdl file "D:/geschäft/05027/scripte/PWM_OUT/main.vhd" in Library work.
    Entity
    compiled.
    ERROR:HDLParsers:854 - "D:/geschäft/05027/scripte/PWM_OUT/main.vhd" Line 51. The expression can not be converted to type unsigned.
Code sind folgendermaßen in Line 51 aus
    Zitat:
    dip_int := to_integer(unsigned(Dip));
Die "dip_int" ist als variable integer und der "DIP" als "bit_vector(3 downto 0);" defeniert.

Hat da jemand eine Idee?

Habe mal den ganzen Code angehängt...

(Ich hatte das Thema schon mal im Anfängerforum, aber da habe ich nicht gewusst wie's geht, jetzt habe ich ja nen Fehler im Syntax, deshalb jetzt hier )

Hoffe Ihr könnt mir helfen!

greeez


[editiert: 29.01.06, 15:49 von nobbe]


main.vhd (1 kByte)
anzeigen - speichern
Datei wurde schon 349-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 102 von 177
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj