VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
fehler beim "synthetisieren", ist aber keiner

Anfang   zurück   weiter   Ende
Autor Beitrag
Randolf Ehret
Gast
New PostErstellt: 11.04.07, 08:25  Betreff: fehler beim "synthetisieren", ist aber keiner  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

"ERROR:Xst:2585 Port of instance does not exist in definition "

bzw in meinem fall :
ERROR:Xst:2585 - Port of instance does not exist in definition

ich hab beim xilinx support nachgeschlagen aber daraus werde ich einfach nicht schlau.
ich hab in meinem projekt mehrere vhdl dateien.
  1. woran erkenne ich was das "submodule" von c3_clk_dcm ist (welches hier analysiert wird von ise)?
  2. wie soll man den fehler dann beheben? ich hab schon probiert die namen anzugleichen, aber wahrscheinlich nicht im submodul...

für jegliche hilfe wäre ich dankbar
nach oben
helloworld
Gast
New PostErstellt: 02.07.08, 04:41  Betreff: Re: fehler beim "synthetisieren", ist aber keiner  drucken  weiterempfehlen Antwort mit Zitat  

    Zitat: Randolf Ehret
    "ERROR:Xst:2585 Port of instance does not exist in definition "

    bzw in meinem fall :
    ERROR:Xst:2585 - Port of instance does not exist in definition

    ich hab beim xilinx support nachgeschlagen aber daraus werde ich einfach nicht schlau.
    ich hab in meinem projekt mehrere vhdl dateien.
    1. woran erkenne ich was das "submodule" von c3_clk_dcm ist (welches hier analysiert wird von ise)?
    2. wie soll man den fehler dann beheben? ich hab schon probiert die namen anzugleichen, aber wahrscheinlich nicht im submodul...

    für jegliche hilfe wäre ich dankbar
nach oben
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 24.09.08, 15:25  Betreff: Re: fehler beim "synthetisieren", ist aber keiner  drucken  weiterempfehlen Antwort mit Zitat  

kannst Du mal deinen Soucecode hochladen?

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj