VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
UCF Pins zuweisen

Anfang   zurück   weiter   Ende
Autor Beitrag
seathgecco
Gast
New PostErstellt: 12.02.07, 10:42  Betreff: UCF Pins zuweisen  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hi @ all!
Habe folgendes Problem:
Ich arbeite mit dem Spartan 3E Starter Kit und ISE 9.1i. Ich möchte Im UCF Pins zuweisen, das klappt auch bei den meisten, nur beii manchen kommt die Meldung:

ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB
component:
PAD symbol "input_1(Pad Signal = input_1)
BUF symbol "input_1_IBUF" (Output Signal = input_1_IBUF)
Each of the following constraints specifies an illegal physical site for a
component of type IOB:
Symbol "input_1" (LOC=P77)
Please correct the constraints accordingly.

Wie kann man das umgehen, muss ja möglich sein, alle auf dem Demoboard rausgeführten Pins auch zu nutzen...

Grüße, Alex
nach oben
seathgecco
Gast
New PostErstellt: 12.02.07, 11:04  Betreff: Re: UCF Pins zuweisen  drucken  weiterempfehlen Antwort mit Zitat  

Also ich hab mal ein bisschen rumprobiert, ohne genau zu wissen was ich mache ;-)
Das Mapping funtioniert wenn man als Buffer none angibt:

attribute buffer_type of xxxx : signal is "none";

Aber eine gescjeite Lösung kann das ja net sein, oder?
nach oben
Tiananmen Man
Gast
New PostErstellt: 03.05.07, 12:53  Betreff: Re: UCF Pins zuweisen  drucken  weiterempfehlen Antwort mit Zitat  

also ich hab dasselbe problem...
ich arbeite mit xilinx ise 9.1i mit aktuellen updates etc.
da kann man sich ja die pinvergabe grafisch anzeigen lassen.
und der zeigt bei mir an das der vergebene pin ein IO pin ist. also bidirectional. aber er meckert trotzdem rum.
wie kann ich denn deine lösung in mein projekt einbinden?
wo muss ich die "befehlszeile" reinkopieren ?
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj