VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-Forum
1 Treffer gefunden
Suchdauer: 0,02 Sekunden
VHDL-Forum Anfang   zurück   weiter   Ende
   Betreff   Autor   Datum 
No new posts How to truncate a vector signal?
Gentlemen, I need your help: I have a 56 bit signal and I want to truncate it to 24 bits. I am using the fixed point format 2Q22. I want to truncate all 1's until I find a 0. The first two digits are the integer part and the rest 22 is what remains from the reset, truncating any excessive bit too. For example: 11111111101000011111111100000000000000001111111111110000 (this binary number has 56 bits) This must be truncaded to 01.0000111111111000000000 (the comma is for practical purposes only). Thank you very much for your time
john1984 27.08.06, 16:59
 
 
1 von 1 Ergebnisse Anfang   zurück   weiter   Ende
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj