VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
7-Segment Decoder

Anfang   zurück   weiter   Ende
Autor Beitrag
SteffPriko
Gast
New PostErstellt: 03.04.11, 14:11  Betreff:  7-Segment Decoder  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,
habe eine HÜ auf bekommen, in der ich einen 7-Segment Decoder entwickeln muss.
Folgendes ist gegeben:
Alle Elemente dunkel für EN=0
Zuordnung: y(0) Segment a bis y(6) Segment g:

Nun habe ich folgendes programmiert (was leider nicht funktioniert -> Fehlermeldung beim Compelieren):



entity decoder_7_segment is
Port ( EN : in STD_LOGIC;
d : in STD_LOGIC_VECTOR (3 downto 0);
y : out STD_LOGIC_VECTOR (6 downto 0));
end decoder_7_segment;

architecture Implementation of decoder_7_segment is

begin


y <= y_int when EN = '1' else '0'

with d SELect
y_int <= "1111001" when "0001", --1
"0100100" when "0010", --2
"0110000" when "0011", --3
"0011001" when "0100", --4
"0010010" when "0101", --5
"0000010" when "0110", --6
"1111000" when "0111", --7
"0000000" when "1000", --8
"0010000" when "1001", --9
"0001000" when "1010", --A
"0000011" when "1011", --b
"1000110" when "1100", --C
"0100001" when "1101", --d
"0000110" when "1110", --E
"0001110" when "1111", --F
"1000000" when others; --0


end Implementation;


Kann mir bitte jemand sagen, was ich falsch gemacht habe??
Danke
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj